量産工程でEUVリソグラフィー技術の導入が進むなかで、半導体メーカーによる露光装置や検査装置の争奪戦が始まっている。EUV導入における、これらキーツールは供給できる装置メーカーが限られており、供給能力がネックとなっているためだ。

 EUVの採用企業としてTSMCとサムスン電子が覇権争いを演じているほか、一時外部アウトソースに傾きかけたインテルも新CEOのもと、EUV投資を積極的に推し進める姿勢を打ち出した。採用企業数が少ないことが、EUV関連市場に向けたネガティブな要素と考えられていたが、採用ユーザーの拡大に加え、1社あたりの導入・購買台数が桁違いに増えてきたことから、これら不安の大部分は払拭されてきた。

EUVの適用レイヤー数が拡大

 EUVは現在、7/5nm世代といった先端ロジックの一部工程に導入されており、微細化に伴い適用レイヤー数が増加傾向にある。TSMCの場合、N7+では3~4工程にEUVが適用されていたが、N5では10工程前後に拡大。さらに22年から量産開始予定のN3では20工程近くまで増加すると見込まれている。

 量産ボリュームという意味ではTSMCが他を圧倒しており、EUV露光装置の保有台数も群を抜いている。ただ、サムスン電子が先端ロジックに加えて、DRAMでの適用も予定しているほか、同じくメモリー大手のSKハイニックスもASMLとEUV購入に関する長期契約を契約したことが報じられている。

 インテルも今後EUV関連の投資を強めていく。同社は3月23日に開催された投資家向けイベントにおいて、最先端プロセスの製造を自社ファブで引き続き行っていくことを明言。さらに、アリゾナ州内にファンドリー事業拡大のために、200億ドルを投じて新工場を建設することも発表した。

 同時に、23年から本格量産予定の7nm世代において、従来検討していたEUV適用レイヤー数を2倍以上に増やし、製造プロセスを簡素化していく考え。これにより、EUV関連投資は当初の想定を大きく上回ることになりそうだ。実際に、同社の21年設備投資金額は前年比で大きく減額されるとの見方が大半だったが、同イベント時に発表された21年投資金額は190億~200億ドルと前年実績(143億ドル)を大きく上回る規模となっている。

ASMLの年間キャパ超える要求

 EUV導入に向けて半導体メーカー各社の動きが強まるなか、カギを握るのが必要な装置インフラの確保だ。最も重要な露光装置においては、ASMLは21年に40台強のEUV露光装置の出荷を計画。TSMC、サムスンがEUV投資を加速させているなかで、市場の要求はASMLの年間キャパシティー(40~45台)を上回るものと推定される。

 また、マスク/ブランクスの欠陥検査装置を手がけるレーザーテックの供給能力も制約条件となっている。同社は露光波長を同じEUV光で検査が行えるアクティニック検査装置を唯一製品化している企業であり、17年にEUVブランクス欠陥検査/レビュー装置を市場に投入したのに続き、19年にはパターン付きマスクのアクティニック検査が可能な「ACTIS A150」も製品化した。

 ACTISは今後、ペリクル(保護膜)を装着したEUVマスクの検査においては必須の装置とされており、同社の供給能力は大きな注目を集めていた。同装置のリードタイムは非常に長く、21年2月に実施された決算カンファレンスにおいても納期短縮に向けてサプライヤーや協力工場と協議を進めていることを明かした。

EUVでもDPT導入、高NA化も

 3nm以降のさらなる微細化に向けては、EUVもさらなる技術革新が求められそうだ。ArFなどの光リソでは一般化しているダブルパターニング(DPT)の適用が検討されているほか、その先には高解像度化に向けた高NAに対するニーズも高まっている。

 また、マスク/ブランクス分野においては、従来のバイナリーマスクから位相シフトマスクへの移行が進む。懸念となっていたペリクルについても、imecがCNT(カーボンナノチューブ)ベースで高い透過率を実現したEUVペリクルを開発、注目を集めている。また、ASMLもポリシリコンベースで高透過率を実現したペリクルの成果を発表するなど、業界全体で大きな進展を見せている。

 EUVは従来の光リソとは全く異なった技術を採用していることから、装置・材料分野にとっても大きな事業機会と位置づけられている。TSMCに続く採用企業が続々と出てきたことで、これまで以上に関連市場は盛り上がりを見せそうだ。

電子デバイス産業新聞 副編集長 稲葉 雅巳